April 15, 2017

Tuning CTS Recipe

I've been trying to debug and tune my CTS recipe for quite some weeks now, and this gave me the basic insight into the CTS algorithm, various knobs available to the designers to be able to tune their CTS results to achieve the desired skew, transition and latency targets.

In this blog post, I'll discuss about those knobs while trying my best not to go into tool specific commands/constructs to be able to keep the discuss more conceptual and tool independent. Before we delve any deeper into these knobs, let's ask the basic question first: why do we need CTS to begin with, or what goals do we expect CTS to achieve for us? The answer is to be able to create a balanced clock tree. A balanced clock tree would simply mean: minimum skew between your sequentials in the design (of course we would only be interested in skew within the same clock group. Let me know in comments if this part is not clear). In addition to minimizing the skew, we would also like to achieve minimum latency by adding minimum number of clock buffers on the clock path thereby ensuring  lesser area, lesser routing congestion and most importantly no extra dynamic power dissipation!

Now, we have the required background to discuss the CTS knobs in detail! :)

1. Creating Skew Groups: Skew groups are basically groups of sink-pins (clock end-points) which need to be balanced against each other. Now, some skew groups may be default, some might need to be created explicitly to help CTS engine. We'll take a look at some use-cases.
Default skew groups: Let's say you have 5 clocks in your design. 
Group1: CLK1, CLK2 and CLK3 are synchronous to each other.
Group2: CLK4, CLK5 are synchronous to each other.

Group1 and Group2 are logically exclusive and therefore clocks within each group are implicitly asynchronous to the clocks in other group.
In this case, by defining clock groups, we have implicitly defined skew groups. CTS engine would try and balance latencies of CLK1, CLK2 and CLK3. And independently try and balance clock latencies of CLK4 and CLK5.

Sometimes, however, designers might want to create some explicit skew groups on top of the implicit ones. Let's take a look at those use-cases.



The figure highlights the sequential cloud of devices working on CLK1, CLK2 and CLK3 respectively. Assume there's heavy traffic and interaction between CLK1 and CLK2 sequentials while only a very few sequentials working on CLK3 interact with those working on CLK1 and CLK2. Clock enters the partition via three different clock ports on the left side, and certainly distance between the CLK3 port and CLK3 sequentials is the largest, thereby CTS engine would need to insert more clock buffers to maintain the transition (Ask yourself why? What would be the caveat if clock transition goes bad? Puzzle: Clock Transition). Assuming average latency that CTS can manage for CLK3 sequentials is 150 ps, while for CLK1 and CLK2 sequentials, it's 100 ps. In order to balance these three clocks, it will push the clock latency for CLK1 and CLK2 sequentials to match that of the longest latency: 150 ps. If, as designers, we know that interaction between CLK3 sequentials and CLK1, CLK2 sequentials is not too much, or even if it's too much, we know from timing perspective (both hold and setup) we have sufficient positive slack, we don't really need to balance these three clocks. We can create a separate skew group for CLK3 sequentials thereby preventing the extra latency  on CLK1 and CLK2 buffers. This would help us in minimizing clock tree buffers, the associated area, routing resources, power and perhaps even the detrimental impact of OCVs on the uncommon clock path. (Read the post: Common Path Pessimism for greater insight).

Another case could be let's say a hard IP in your design which is placed far away from rest of the sequentials working on the same clock. And you know that there's minimal interaction between the sequentials and hard IP, you might need to create a separate skew group for the hard IP clock pin.



2. Sequential Clustering: (Different from Register Banking) CTS is performed after the placement step and by that time all the sequentials and standard cells have been placed. And this placement of sequentials is invariably driven only by the data path optimization constraints. In other words, placement engine would place sequentials at locations which it finds convenient to meet timing assuming ideal clock distribution. As depicted in the figure below, for some reason, placer decided to place a small bunch of sequentials working on CLK1 far away from the port thereby threatening to shoot up the clock latency of all the CLK1 sequentials. Now, either you can try and create a separate skew group to decouple these sequentials, or you can re-run placement tightly bounding all CLK1 sequentials togther to prevent latency (and hence clock skew) shoot-up.



3. Clock Ordering and "dont touch subtree": You might have cases in your design where there's clock multiplexing, let's say between functional and scan clocks, and you need to create a clock tree for both of them. compile_clock_tree usually works on a clock by clock basis. Let's say you were smart enough to enforce the order to command CTS engine to build the CTS network for fast functional clock first and then for the slower scan clock. That's a reasonable approach considering skew, transition and latency targets would be more difficult and constrained to meet for faster clocks, and by building the CTS for faster clocks first, you are giving the engine the leeway to do it's best possible job. However, when it will try and balance the network for scan clocks, it can touch the functional clock network as well. One key difference between functional and scan clocks, in addition to the difference in clock frequencies, would be the scan clock would have a greater fan-out than the functional clocks and therefore more scope for the CTS engine to goof-up! To prevent this, we need to do two things:

a) Enforce CTS order to construct the clock tree for faster clocks first and slower clocks next
b) In order to prevent slow clock from altering the clock tree network of fast clocks, we need to apply a dont_touch_subtree exception on the MUX input of the slower clock. 


4. Divided Clocks and "stop_pins": By default, all the sequentials which are flop-based dividers, their CLK is treated as a default "non-stop-pin". Meaning CTS would consider clk -> out arc of these divider flops to be a "through-pin" and try to balance the latencies of the master clock and the generated clock. Now, consider the case as shown below. There are many ways to solve the problem and which of the two methods give you better results would depend on the design:



a) Creating a different skew group for the sequentials placed far away. This would de-couple the sequentials placed nearby and the ones placed far away. And CTS engine would be able to do a decent job.

b) Another experiement well worth a shot could be defining at CLK pin of the divider flop as a "stop_pin" so that latency of the master clock would be in check considering it will treat all it's sequentials including the divider flop as one group and do a relatively good job in balancing out these sequentials. This would avoid latency shoot-up of the master clock.

5. Exclude Clock from CTS: If there are two clocks defined at the same pin/port with different clock periods, whether they be synchronous or asynchronous, it might be a good idea to exclude the slower clock from CTS all-together to prevent CTS from touching the same clock network twice and surprising you with the results.

6. Clock used as data and "exclude pins": You might have some cases where clock is being used as data inside your design. CTS engine would be oblivious of this fact and might go crazy while building the clock tree. In these cases, it would be a good idea to explicitly mark the beginning of data path as "exclude_pin" to guide CTS engine to exclude anything further from clock tree balancing!


I couldn't think of any more cases. If you have some interesting use cases that I might have missed, kindly share them in the comments. :)


15 comments:

  1. In sequential clustering, how are we supposed to know whether there will be increase in the number of setup/hold violations?
    I mean, if we go for tightly binding the cells and then going for the placement run, we might figure out that things went haywire (costing us runtime). In that case is there some technique to figure it out beforehand?

    ReplyDelete
  2. You have mentioned 'we would be interested in reducing the skew between same clock groups'.
    Shouldn't we be considering the global skew as well? Wouldn't a huge global skew result into hold violations in scan mode?

    ReplyDelete
  3. Excellent insight into CTS. Very well explained with comprehensive user cases.

    I have a question which might be very naive.

    How do you analyse a particular CTS situation, where you could use one of the above use cases you have explained.

    ReplyDelete
    Replies
    1. That isn't a naive question. This question is indeed a hallmark of someone with an experienced eye! :)
      The first sign that would prompt you to dig deeper into the CTS flow would be the timing violations. Now, timing violations may pop up due to high local clock skew, which could be an artifact of high clock latency of some sequentials, which could possibly be because they were placed far away than the rest. Or it could be that you see many clock buffers clustered in a very small area which means that CTS didn't add them for maintaining transition on clock network, but probably added them to balance the longest and shortest path of the same clock. In this case you'd again need to debug the longest network.

      Another case could be hold violations due to OCVs on uncommon clock path. You need to see the point of divergence, and argue whether it could be moved far away from the source to increase the common clock path.

      You need to analyze all fan-outs, fan-out count, hard IPs etc. These will give you some insight into what the problem could be. An experienced engineer would be able to debug quicker using their instincts (and experience, of course, both go hand-in-hand). You need to be prepared to fire experiments, do a lot of hit and trial and keep on improving stuff incrementally! :)

      Delete
  4. Hi Naman, This will surely standout as one of THE best post on CTS online. Cant thank you enough for answering many of my questions at one go through this post.

    PK

    ReplyDelete
  5. Hi Naman, in CTS spec file we will specify max_tran & max_cap requirements right, on what basis we will specify those limits.

    ReplyDelete
  6. Hi Naman,

    This maybe slightly off topic, but what would be the reason for using a clock signal as data? (exclude pin condition).

    ReplyDelete
    Replies
    1. some clock structures and gating logic demands that.

      Delete
  7. Hi
    4step ( dividec by clock as stop pin) . If i define the divider clock pin as stop pin,divider will be balanced with the master clock sinks. But this is stop pin, divider clock sinks have be to balanced separately by defining the cts root pin at the outptut of the divider. Is that true ?

    ReplyDelete
  8. Is there anyone who know what is the theoretical meaning of multi-fanout skew balancing?

    ReplyDelete
  9. what is disadvantage of having high clock insertion delay on timing violations assuming clock skew is balanced?

    ReplyDelete
  10. Always so interesting to visit your site.What a great info, thank you for sharing. this will help me so much in my learning new york towing

    ReplyDelete
  11. Thank you again for all the knowledge you distribute,Good post. I was very interested in the article, it's quite inspiring I should admit. I like visiting you site since I always come across interesting articles like this one. centralina aggiuntiva moto

    ReplyDelete
  12. thanks for your sharing. very useful information.

    ReplyDelete